Welcome![Sign In][Sign Up]
Location:
Search - led pwm verilog

Search list

[Other resourcepwmled

Description: 一个霹雳灯的Verilog源程序,用PWM原理实现,产生了LED灯的渐弱效果-a thunderbolt lights Verilog source files, using PWM principle realized, LED lights have a gradual effect of the weak
Platform: | Size: 510879 | Author: 张伟 | Hits:

[Embeded-SCM Developpwm发生器

Description: pwm发生器(原理图,pcb,程序流程图,源码80kc196)--PWM generator, including diagram, pcb, data flow diagram , and source code 80kc196).
Platform: | Size: 65536 | Author: 张晓亮 | Hits:

[SCMPWMcontrolLED

Description: PWM占空比调节,控制小灯的渐明渐暗,程序简单明了-PWM duty cycle regulation, the control of small lights out gradually dimming, straightforward procedure
Platform: | Size: 74752 | Author: 胡亚军 | Hits:

[VHDL-FPGA-Verilogpwmled

Description: 一个霹雳灯的Verilog源程序,用PWM原理实现,产生了LED灯的渐弱效果-a thunderbolt lights Verilog source files, using PWM principle realized, LED lights have a gradual effect of the weak
Platform: | Size: 510976 | Author: 张伟 | Hits:

[VHDL-FPGA-VerilogPWM_LED

Description: 利用PWM控制LED亮灭的verilog程序,开发环境quartusII7.0-Using PWM control of LED light off a verilog program development environment quartusII7.0
Platform: | Size: 10486784 | Author: 杜征宇 | Hits:

[VHDL-FPGA-VerilogEDA

Description: verilog 练习的 基本程序 流水灯 ,PWM ,按键消抖,等基本程序 ,经过 一一验证-practice the basic procedures verilog water lights, PWM, key debounce, and other basic procedures, after only verify
Platform: | Size: 18572288 | Author: 谷向前 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 基于FPGA的PWM的一小段代码!用VERILOG 写的,主要是控制一盏led灯的亮度问题-Based on FPGA PWM of small pieces of code! VERILOG with written, main is to control a lamp that led lamp brightness problem
Platform: | Size: 3032064 | Author: zhangyuguang | Hits:

[SCMDE0_NANO_default

Description: PWM波控制LED亮度,使用Verilog语言,开发环境为Altera的quatus 11,使用的的DE0-Nano-PWM wave control LED brightness, the use of the Verilog language development environment for Altera' s quatus 11, use the DE0-Nano
Platform: | Size: 37888 | Author: 程巍 | Hits:

[VHDL-FPGA-VerilogPWMkongzhiLEDxianshi

Description: 实现PWM 输出控制LED 显示。通过这个实验,掌握采用Verilog HDL 语言 编程实现PWM 输出控制LED 的显示方法以及PWM 控制的工作原理。-PWM output to control LED display. Through this experiment, master PWM output to control LED display as well as the works of the PWM control using Verilog HDL language programming.
Platform: | Size: 449536 | Author: 王恒 | Hits:

[VHDL-FPGA-VerilogEDA_PWM_led

Description: EDA的Verilog程序,使用PWM功能控制亮度的LED-EDA Verilog procedures, using the PWM function to control the brightness of the LED
Platform: | Size: 373760 | Author: 阿凡提 | Hits:

[VHDL-FPGA-Verilogpwm_led

Description: 基于FPGA的PWM控制LED灯的verilog程序源代码-FPGA-based PWM control of LED lights verilog source code
Platform: | Size: 459776 | Author: jiabaoqi | Hits:

[VHDL-FPGA-Verilogpwm_generate_module

Description: verilog编写的,用按键控制PWM波占空比。可以定义死区,用来控制舵机或者led灯的亮暗。-Verilog prepared, with the button to control the PWM wave duty cycle. You can define the dead zone, used to control the steering gear or led lights bright and dark.
Platform: | Size: 1024 | Author: 刘宇洋 | Hits:

[Embeded-SCM Develop至简设计法--特效呼吸灯

Description: 特效呼吸灯 工程说明 本模块的功能要求是,实现8个灯前1s慢慢变暗,后1s慢慢变亮,不断重复以上操作。 案例补充说明 呼吸灯效果的LED每时每刻都在以不同的功率工作,以不同的亮度值拟合亮度变化,从而形成非常平顺柔和的灯光特效。亮度变化实际上是通过占空比的变化周期来确定的。占空比通过cnt2的计数来变换,而每次变化为1ms,因此PWM的周期是1ms。(Special effects breathing lamp Engineering description The functional requirements of this module is to achieve the 8 lights before 1s slowly darken, after the 1s slowly brighten, and constantly repeat the above operation. Case Supplement The LED of the breathing lamp works at different power at different times, fitting brightness changes with different brightness values, so as to form very smooth and soft lighting effects. The brightness changes are actually determined by the duty cycle of the cycle. The duty cycle is changed by the count of CNT2, and each time the change is 1ms, the period of the PWM is 1ms.)
Platform: | Size: 23552 | Author: 明德扬科教 | Hits:

[VHDL-FPGA-VerilogExp4TZ

Description: 用于实现LED的呼吸灯,通过FPGA调试成功,用了PWM的原理(About the LED of PWM)
Platform: | Size: 3257344 | Author: xlxw | Hits:

CodeBus www.codebus.net